Realization of Redundant Binary Multiplier with Modified Partial Product Generator Using Verilog

Authors(3) :-V. Lakshma Reddy, H. Sudhakar, D. Ajay Kumar

Digital multipliers are widely used in arithmetic units of microprocessors, multimedia and digital signal processors. A redundant binary (RB) representation can be used when designing high performance multipliers due to its high modularity and carry-free addition. The conventional RB multiplier requires an additional RB partial product (RBPP) row, because an error-correcting word (ECW) is generated by both the radix-4 Modified Booth encoding (MBE) and the RB encoding. This incurs in an additional RBPP accumulation stage for the MBE multiplier. In this paper, a new RB modified partial product generator (RBMPPG) is proposed; it removes the extra ECW and hence, it saves one RBPP accumulation stage. Therefore, the proposed RBMPPG generates fewer partial product rows than a conventional RB MBE multiplier. Simulation results show that the proposed RBMPPG based designs significantly improve the area and power consumption when the word length of each operand in the multiplier is at least 32 bits.

Authors and Affiliations

V. Lakshma Reddy
M.Tech (VLSI Design), Department of ECE, SIR C.R.R. College of Engineering, Eluru,Andhra Pradesh, India
H. Sudhakar
Assistant Professor, Department of ECE, SIR C.R.R. College of Engineering, Eluru, Andhra Pradesh, India
D. Ajay Kumar
Assistant Professor, Department of ECE, SIR C.R.R. College of Engineering, Eluru, Andhra Pradesh, India

Binary Multiplier, Verilog, Partial Product Generator, ECW, RBMPPG, MBE, RBPP, RB MBE Multiplier, Normal Binary, MBE

  1. A. Avizienis, "Signed-digit number representations for fast parallel arithmetic," IRE Trans. Electron. Computers, vol. EC-10, pp. 389-400, 1961.
  2. N. Takagi, H. Yasuura, and S. Yajima, "High-speed VLSI multiplication algorithm with a redundant binary addition tree," IEEE Trans. Computers, vol. C-34, pp. 789-796, 1985.
  3. Y. Harata, Y. Nakamura, H. Nagase, M. Takigawa, and N. Takagi, "A high speed multiplier using a redundant binary adder tree," IEEE J. Solid-State Circuits, vol. SC-22, pp. 28-34,1987.
  4. H. Edamatsu, T. Taniguchi, T. Nishiyama, and S. Kuninobu, "A 33 MFLOPS floating point processor using redundant binary representation," in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), pp. 152-153, 1988.
  5. H. Makino, Y. Nakase, and H. Shinohara, "A 8.8-ns 54x54-bit multiplier using new redundant binary architecture," in Proc. Int. Conf. Comput. Design (ICCD), pp. 202-205, 1993.
  6. H. Makino, Y. Nakase, H. Suzuki, H. Morinaka, H. Shinohara, and K. Makino, "An 8.8-ns 54×54-bit multiplier with high speed redundant binary architecture," IEEE J. Solid-State Circuits, vol. 31, pp. 773-783, 1996.
  7. Y. Kim, B. Song, J. Grosspietsch, and S. Gillig, "A carry-free 54b×54b multiplier using equivalent bit conversion algorithm," IEEE J. Solid-State Circuits, vol. 36, pp. 1538-1545, 2001.
  8. Y. He and C. Chang, "A power-delay efficient hybrid carry look ahead carry-select based redundant binary to two’s complement converter," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, pp. 336-346, 2008.
  9. G. Wang and M. Tull, "A new redundant binary number to 2'scomplement number converter," in Proc. Region 5 Conference: Annual Technical and Leadership Workshop, pp. 141-143, 2004.
  10. W. Yeh and C. Jen, "High-speed Booth encoded parallel multiplier design," IEEE Trans. Computers, vol. 49, pp. 692-701,2000.
  11. S. Kuang, J. Wang, and C. Guo, "Modified Booth multiplier with a regular partial product array," IEEE Trans. Circuits Syst. II, vol. 56, pp. .404-408, 2009.
  12. J. Kang and J. Gaudiot,"A simple high-speed multiplier design," IEEE Trans. Computers, vol. 55, pp.1253-1258, 2006.
  13. F. Lamberti, N. Andrikos, E. Antelo, and P. Montuschi, "Reducing the computation time in (short bit-width) two’s complement multipliers," IEEE Trans. Computers, vol. 60, pp. 148- 156, 2011.
  14. Y. He and C. Chang, "A new redundant binary Booth encoding for fast 2-bit multiplier design," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, pp. 1192-1199, 2009.
  15. Y. He, C. Chang, J. Gu, and H. Fahmy, "A novel covalent redundant binary Booth encoder," in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), vol. 1, pp. 69-72, 2005.
  16. N. Besli and R. Deshmukh, "A novel redundant binary signed digit (RBSD) Booth’s encoding," in Proc. IEEE Southeast Conf.,pp. 426-431, 2002.

Publication Details

Published in : Volume 2 | Issue 6 | November-December 2017
Date of Publication : 2017-12-31
License:  This work is licensed under a Creative Commons Attribution 4.0 International License.
Page(s) : 924-929
Manuscript Number : CSEIT1726264
Publisher : Technoscience Academy

ISSN : 2456-3307

Cite This Article :

V. Lakshma Reddy, H. Sudhakar, D. Ajay Kumar, "Realization of Redundant Binary Multiplier with Modified Partial Product Generator Using Verilog", International Journal of Scientific Research in Computer Science, Engineering and Information Technology (IJSRCSEIT), ISSN : 2456-3307, Volume 2, Issue 6, pp.924-929, November-December-2017. |          | BibTeX | RIS | CSV

Article Preview